library ieee;
use ieee.std_logic_1164.all;
use IEEE.numeric_std.all;
USE IEEE.std_logic_unsigned.ALL;
use ieee.std_logic_arith.all;
-- -----------------------------------------------
Entity amdds_module is
-- -----------------------------------------------
Port
(
CLK : in std_logic;
Freq_Data_1 : in std_logic_vector (31 downto 0);
Freq_Data_2 : in std_logic_vector (31 downto 0);
Dout : out std_logic_vector (7 downto 0)
);
end amdds_module;
-- -----------------------------------------------
Architecture RTL of amdds_module is
-- -----------------------------------------------
signal Address_1 : std_logic_vector(7 downto 0);
signal Address_2 : std_logic_vector(7 downto 0);
signal Accum_1 : std_logic_vector (31 downto 0);
signal Accum_2 : std_logic_vector (31 downto 0);
begin
--------------------------------------------------
Acc_1: process
(原创)产生AM调幅信号的DDS——VHDL
最新推荐文章于 2025-05-31 14:24:12 发布